default search action
Vladimir Zolotov
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2021
- [c66]Ruchir Puri, David S. Kung, Geert Janssen, Wei Zhang, Giacomo Domeniconi, Vladimir Zolotov, Julian Dolby, Jie Chen, Mihir R. Choudhury, Lindsey Decker, Veronika Thost, Luca Buratti, Saurabh Pujar, Shyam Ramji, Ulrich Finkler, Susan Malaika, Frederick Reiss:
CodeNet: A Large-Scale AI for Code Dataset for Learning a Diversity of Coding Tasks. NeurIPS Datasets and Benchmarks 2021 - [i2]Ruchir Puri, David S. Kung, Geert Janssen, Wei Zhang, Giacomo Domeniconi, Vladimir Zolotov, Julian Dolby, Jie Chen, Mihir R. Choudhury, Lindsey Decker, Veronika Thost, Luca Buratti, Saurabh Pujar, Ulrich Finkler:
Project CodeNet: A Large-Scale AI for Code Dataset for Learning a Diversity of Coding Tasks. CoRR abs/2105.12655 (2021)
2010 – 2019
- 2019
- [c65]Geert Janssen, Vladimir Zolotov, Tung D. Le:
Large Data Flow Graphs in Limited GPU Memory. IEEE BigData 2019: 1821-1830 - 2017
- [i1]Vladimir Zolotov, David Kung:
Analysis and Optimization of fastText Linear Text Classifier. CoRR abs/1702.05531 (2017) - 2016
- [c64]Debjit Sinha, Vladimir Zolotov, Sheshashayee K. Raghunathan, Michael H. Wood, Kerim Kalafala:
Practical statistical static timing analysis with current source models. DAC 2016: 113:1-113:6 - [c63]Debjit Sinha, Vladimir Zolotov, Jin Hu, Sheshashayee K. Raghunathan, Adil Bhanji, Christine M. Casey:
Generation and use of statistical timing macro-models considering slew and load variability. ICCAD 2016: 98 - [c62]Debjit Sinha, Vladimir Zolotov, Eric Fluhr, Michael H. Wood, Jeffrey Ritzinger, Natesan Venkateswaran, Stephen Shuma:
Sharing and Re-use of Statistical Timing Macro-Models across Multiple Voltage Domains. VLSID 2016: 493-498 - 2015
- [c61]Vladimir Zolotov, Peter Feldmann:
Variation aware cross-talk aggressor alignment by mixed integer linear programming. DAC 2015: 164:1-164:6 - 2013
- [j17]Yiyu Shi, Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah:
Order statistics for correlated random variables and its application to at-speed testing. ACM Trans. Design Autom. Electr. Syst. 18(3): 42:1-42:20 (2013) - 2012
- [j16]Jaeyong Chung, Jinjun Xiong, Vladimir Zolotov, Jacob A. Abraham:
Path Criticality Computation in Parameterized Statistical Timing Analysis Using a Novel Operator. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(4): 497-508 (2012) - [j15]Jaeyong Chung, Jinjun Xiong, Vladimir Zolotov, Jacob A. Abraham:
Testability-Driven Statistical Path Selection. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(8): 1275-1287 (2012) - [c60]Vladimir Zolotov, Debjit Sinha, Jeffrey G. Hemmett, Eric A. Foreman, Chandu Visweswariah, Jinjun Xiong, Jeremy Leitzen, Natesan Venkateswaran:
Timing analysis with nonseparable statistical and deterministic variations. DAC 2012: 1061-1066 - [c59]Debjit Sinha, Chandu Visweswariah, Natesan Venkateswaran, Jinjun Xiong, Vladimir Zolotov:
Reversible statistical max/min operation: concept and applications to timing. DAC 2012: 1067-1073 - 2011
- [c58]Jaeyong Chung, Jinjun Xiong, Vladimir Zolotov, Jacob A. Abraham:
Path criticality computation in parameterized statistical timing analysis. ASP-DAC 2011: 249-254 - [c57]Jaeyong Chung, Jinjun Xiong, Vladimir Zolotov, Jacob A. Abraham:
Testability driven statistical path selection. DAC 2011: 417-422 - [c56]Vladimir Zolotov, Jinjun Xiong:
Optimal statistical chip disposition. ICCAD 2011: 95-102 - 2010
- [j14]Vladimir Zolotov, Jinjun Xiong, Hanif Fatemi, Chandu Visweswariah:
Statistical Path Selection for At-Speed Test. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 29(5): 749-759 (2010) - [c55]Daniel K. Beece, Jinjun Xiong, Chandu Visweswariah, Vladimir Zolotov, Yifang Liu:
Transistor sizing of custom high-performance digital circuits with parametric yield considerations. DAC 2010: 781-786
2000 – 2009
- 2009
- [j13]Howard Chen, Scott Neely, Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah:
Statistical Power Analysis for High-Performance Processors. J. Low Power Electron. 5(1): 70-76 (2009) - [j12]Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah, Peter A. Habitz:
Optimal Test Margin Computation for At-Speed Structural Test. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28(9): 1414-1423 (2009) - [c54]Jinjun Xiong, Chandu Visweswariah, Vladimir Zolotov:
Statistical ordering of correlated timing quantities and its application for path ranking. DAC 2009: 122-125 - [c53]Jinjun Xiong, Yiyu Shi, Vladimir Zolotov, Chandu Visweswariah:
Statistical multilayer process space coverage for at-speed test. DAC 2009: 340-345 - [c52]Jiniun Xionq, Yiyu Shi, Vladimir Zolotov, Chandu Visweswariah:
Pre-ATPG path selection for near optimal post-ATPG process space coverage. ICCAD 2009: 89-96 - [c51]Vladimir Zolotov, Chandu Visweswariah, Jinjun Xiong:
Voltage binning under process variation. ICCAD 2009: 425-432 - 2008
- [c50]Ruiming Chen, Lizheng Zhang, Vladimir Zolotov, Chandu Visweswariah, Jinjun Xiong:
Static timing: Back to our roots. ASP-DAC 2008: 310-315 - [c49]Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah, Peter A. Habitz:
Optimal Margin Computation for At-Speed Test. DATE 2008: 622-627 - [c48]Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah:
Incremental Criticality and Yield Gradients. DATE 2008: 1130-1135 - [c47]Vladimir Zolotov, Jinjun Xiong, Hanif Fatemi, Chandu Visweswariah:
Statistical path selection for at-speed test. ICCAD 2008: 624-631 - [c46]Howard Chen, Scott Neely, Jinjun Xiong, Vladimir Zolotov, Chandu Visweswariah:
Statistical Modeling and Analysis of Static Leakage and Dynamic Switching Power. PATMOS 2008: 178-187 - [r1]Rajendran Panda, Vladimir Zolotov, Murat R. Becer:
Coupling Noise. Handbook of Algorithms for Physical Design Automation 2008 - 2007
- [j11]Jinjun Xiong, Vladimir Zolotov, Lei He:
Robust Extraction of Spatial Correlation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(4): 619-631 (2007) - [c45]Vikram Iyengar, Jinjun Xiong, Subbayyan Venkatesan, Vladimir Zolotov, David E. Lackey, Peter A. Habitz, Chandu Visweswariah:
Variation-aware performance verification using at-speed structural test and statistical timing. ICCAD 2007: 405-412 - [c44]Vladimir Zolotov, Jinjun Xiong, Soroush Abbaspour, David J. Hathaway, Chandu Visweswariah:
Compact modeling of variational waveforms. ICCAD 2007: 705-712 - 2006
- [j10]Min Zhao, Yuhong Fu, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda:
Optimal placement of power-supply pads and pins. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(1): 144-154 (2006) - [j9]Haldun Haznedar, Martin Gall, Vladimir Zolotov, Pon Sung Ku, Chanhee Oh, Rajendran Panda:
Impact of stress-induced backflow on full-chip electromigration risk assessment. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(6): 1038-1046 (2006) - [c43]Jinjun Xiong, Vladimir Zolotov, Natesan Venkateswaran, Chandu Visweswariah:
Criticality computation in parameterized statistical timing. DAC 2006: 63-68 - [c42]Jinjun Xiong, Vladimir Zolotov, Lei He:
Robust extraction of spatial correlation. ISPD 2006: 2-9 - 2005
- [c41]Hongliang Chang, Vladimir Zolotov, Sambasivan Narayan, Chandu Visweswariah:
Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions. DAC 2005: 71-76 - [c40]Aseem Agarwal, Kaviraj Chopra, David T. Blaauw, Vladimir Zolotov:
Circuit optimization using statistical static timing analysis. DAC 2005: 321-324 - [c39]Matthew R. Guthaus, Natesan Venkateswaran, Vladimir Zolotov, Dennis Sylvester, Richard B. Brown:
Optimization objectives and models of variation for statistical gate sizing. ACM Great Lakes Symposium on VLSI 2005: 313-316 - [c38]Saumil Shah, Ashish Srivastava, Dushyant Sharma, Dennis Sylvester, David T. Blaauw, Vladimir Zolotov:
Discrete Vt assignment and gate sizing using a self-snapping continuous formulation. ICCAD 2005: 705-712 - [c37]Amit Jain, David T. Blaauw, Vladimir Zolotov:
Accurate delay computation for noisy waveform shapes. ICCAD 2005: 947-953 - [c36]Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Amir Grinshpon, Ilan Algor, Rafi Levy, Chanhee Oh:
Pessimism reduction in crosstalk noise aware STA. ICCAD 2005: 954-961 - [c35]Matthew R. Guthaus, Natesan Venkateswaran, Chandu Visweswariah, Vladimir Zolotov:
Gate sizing using incremental parameterized statistical timing analysis. ICCAD 2005: 1029-1036 - 2004
- [j8]Aseem Agarwal, Vladimir Zolotov, David T. Blaauw:
Statistical clock skew analysis considering intradie-process variations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(8): 1231-1242 (2004) - [j7]Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj:
Postroute gate sizing for crosstalk noise reduction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(12): 1670-1677 (2004) - [c34]Min Zhao, Yuhong Fu, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda:
Optimal placement of power supply pads and pins. DAC 2004: 165-170 - [c33]Sanjay Pant, David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda:
A stochastic approach To power grid analysis. DAC 2004: 171-176 - [c32]Dongwoo Lee, Vladimir Zolotov, David T. Blaauw:
Static timing analysis using backward signal propagation. DAC 2004: 664-669 - [c31]Alexey Glebov, Sergey Gavrilov, Vladimir Zolotov, Chanhee Oh, Rajendran Panda, Murat R. Becer:
False-Noise Analysis for Domino Circuits. DATE 2004: 784-789 - [c30]Alexey Glebov, Sergey Gavrilov, R. Soloviev, Vladimir Zolotov, Murat R. Becer, Chanhee Oh, Rajendran Panda:
Delay noise pessimism reduction by logic correlations. ICCAD 2004: 160-167 - [c29]Chanhee Oh, Haldun Haznedar, Martin Gall, Amir Grinshpon, Vladimir Zolotov, Pon Sung Ku, Rajendran Panda:
A Methodology for Chip-Level Electromigration Risk Assessment and Product Qualification. ISQED 2004: 232-237 - 2003
- [j6]David T. Blaauw, Chanhee Oh, Vladimir Zolotov, Aurobindo Dasgupta:
Static electromigration analysis for on-chip signal interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(1): 39-48 (2003) - [j5]Haitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar:
Fast on-chip inductance simulation using a precorrected-FFT method. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(1): 49-66 (2003) - [j4]Aseem Agarwal, Vladimir Zolotov, David T. Blaauw:
Statistical timing analysis using bounds and selective enumeration. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(9): 1243-1260 (2003) - [c28]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Min Zhao, Kaushik Gala, Rajendran Panda:
Statistical delay computation considering spatial correlations. ASP-DAC 2003: 271-276 - [c27]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula:
Computation and Refinement of Statistical Bounds on Circuit Delay. DAC 2003: 348-353 - [c26]Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj:
Post-route gate sizing for crosstalk noise reduction. DAC 2003: 954-957 - [c25]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula:
Statistical Timing Analysis Using Bounds. DATE 2003: 10062-10067 - [c24]Sanjay Pant, David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Rajendran Panda:
Vectorless Analysis of Supply Noise Induced Delay Variation. ICCAD 2003: 184-192 - [c23]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov:
Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations. ICCAD 2003: 900-907 - [c22]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov:
Statistical Clock Skew Analysis Considering Intra-Die Process Variations. ICCAD 2003: 914-921 - [c21]D. Nadezhin, Sergey Gavrilov, Alexey Glebov, Y. Egorov, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Murat R. Becer, Alexandre Ardelea, A. Patel:
SOI Transistor Model for Fast Transient Simulation. ICCAD 2003: 120128 - [c20]Haitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar:
Table look-up based compact modeling for on-chip interconnect timing and noise analysis. ISCAS (4) 2003: 668-671 - [c19]Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj:
Post-Route Gate Sizing for Crosstalk Noise Reduction. ISQED 2003: 171-176 - [c18]Chanhee Oh, David T. Blaauw, Murat R. Becer, Vladimir Zolotov, Rajendran Panda, Aurobindo Dasgupta:
Static Electromigration Analysis for Signal Interconnects. ISQED 2003: 377-382 - 2002
- [j3]David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran:
Slope propagation in static timing analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(10): 1180-1195 (2002) - [j2]Alexey Glebov, Sergey Gavrilov, David T. Blaauw, Vladimir Zolotov:
False-noise analysis using logic implications. ACM Trans. Design Autom. Electr. Syst. 7(3): 474-498 (2002) - [j1]Kaushik Gala, David T. Blaauw, Vladimir Zolotov, Pravin M. Vaidya, Anil Joshi:
Inductance model and analysis methodology for high-speed on-chip interconnect. IEEE Trans. Very Large Scale Integr. Syst. 10(6): 730-745 (2002) - [c17]Murat R. Becer, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Ibrahim N. Hajj:
Analysis of Noise Avoidance Techniques in DSM Interconnects Using a Complete Crosstalk Noise Model . DATE 2002: 456-463 - [c16]Haitian Hu, David T. Blaauw, Vladimir Zolotov, Kaushik Gala, Min Zhao, Rajendran Panda, Sachin S. Sapatnekar:
A precorrected-FFT method for simulating on-chip inductance. ICCAD 2002: 221-227 - [c15]Vladimir Zolotov, David T. Blaauw, Supamas Sirichotiyakul, Murat R. Becer, Chanhee Oh, Rajendran Panda, Amir Grinshpon, Rafi Levy:
Noise propagation and failure criteria for VLSI designs. ICCAD 2002: 587-594 - [c14]Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Chanhee Oh:
Noise Injection and Propagation in High Performance Designs. ISQED 2002: 425-430 - [c13]Alexey Glebov, Sergey Gavrilov, David T. Blaauw, Vladimir Zolotov, Rajendran Panda, Chanhee Oh:
False-Noise Analysis Using Resolution Method. ISQED 2002: 437-442 - [c12]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula:
Statistical timing analysis using bounds and selective enumeration. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 16-21 - [c11]Min Zhao, Kaushik Gala, Vladimir Zolotov, Yuhong Fu, Rajendran Panda, R. Ramkumar, Bhuwan K. Agrawal:
Worst case clock skew under power supply variations. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 22-28 - [c10]Aseem Agarwal, David T. Blaauw, Vladimir Zolotov, Sarma B. K. Vrudhula:
Statistical timing analysis using bounds and selective enumeration. Timing Issues in the Specification and Synthesis of Digital Systems 2002: 29-36 - 2001
- [c9]Kaushik Gala, David T. Blaauw, Junfeng Wang, Vladimir Zolotov, Min Zhao:
Inductance 101: Analysis and Design Issues. DAC 2001: 329-334 - [c8]Supamas Sirichotiyakul, David T. Blaauw, Chanhee Oh, Rafi Levy, Vladimir Zolotov, Jingyan Zuo:
Driver Modeling and Alignment for Worst-Case Delay Noise. DAC 2001: 720-725 - [c7]Alexey Glebov, Sergey Gavrilov, David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov:
False-Noise Analysis using Logic Implications. ICCAD 2001: 515- - [c6]Murat R. Becer, David T. Blaauw, Supamas Sirichotiyakul, Chanhee Oh, Vladimir Zolotov, Jingyan Zuo, Rafi Levy, Ibrahim N. Hajj:
A Global Driver Sizing Tool for Functional Crosstalk Noise Avoidance. ISQED 2001: 158-163 - 2000
- [c5]Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David T. Blaauw:
On-chip inductance modeling and analysis. DAC 2000: 63-68 - [c4]Rafi Levy, David T. Blaauw, Gabi Braca, Aurobindo Dasgupta, Amir Grinshpon, Chanhee Oh, Boaz Orshav, Supamas Sirichotiyakul, Vladimir Zolotov:
ClariNet: a noise analysis tool for deep submicron design. DAC 2000: 233-238 - [c3]David T. Blaauw, Kaushik Gala, Vladimir Zolotov, Rajendran Panda, Junfeng Wang:
On-chip inductance modeling. ACM Great Lakes Symposium on VLSI 2000: 75-80 - [c2]David T. Blaauw, Vladimir Zolotov, Savithri Sundareswaran, Chanhee Oh, Rajendran Panda:
Slope Propagation in Static Timing Analysis. ICCAD 2000: 338-343 - [c1]Rajendran Panda, David T. Blaauw, Rajat Chaudhry, Vladimir Zolotov, Brian Young, Ravi Ramaraju:
Model and analysis for combined package and on-chip power grid simulation. ISLPED 2000: 179-184
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-04-25 05:50 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint