(Translated by https://www.hiragana.jp/)
[MooreToCore] Ignore ConstantLike values in wait op by fabianschuiki · Pull Request #7540 · llvm/circt · GitHub
Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[MooreToCore] Ignore ConstantLike values in wait op #7540

Merged
merged 1 commit into from
Aug 21, 2024

Conversation

fabianschuiki
Copy link
Contributor

Skip values defined by ConstantLike ops when collecting the list of values to observe in llhd.wait ops. Constants will never cause an llhd.wait to resume execution since they never change value.

Skip values defined by `ConstantLike` ops when collecting the list of
values to observe in `llhd.wait` ops. Constants will never cause an
`llhd.wait` to resume execution since they never change value.
Copy link
Member

@maerhart maerhart left a comment

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Thanks for cleaning this up! We could also add this as a folder of llhd.wait.

@fabianschuiki
Copy link
Contributor Author

Good idea!

@fabianschuiki fabianschuiki merged commit aae37b7 into main Aug 21, 2024
4 checks passed
@fabianschuiki fabianschuiki deleted the fschuiki/wait-ignore-constants branch August 21, 2024 21:39
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants