SpinalHDL」カテゴリーアーカイブ

LiteX と Migen の勉強べんきょうをしてみる(だい1かい

Slowly start learning LiteX and Migen. 以前いぜんに、SpinalHDL というハードウェア記述きじゅつ言語げんごや、オープンソース(あまりきな言葉ことばではないが)の論理ろんり合成ごうせいツール Yosys、Place and Route ツール nextpnr を使つかって、設計せっけいした論理ろんり回路かいろや RISC-V SoC を Lattice しゃの FPGA じょううごかしてました。 SpinalHDL による SoC 設計せっけい面白おもしろいのですが、SpinalHDL プロジェクトのすすかた基本きほんつづきをむ »

TinyFPGA BX の SPI ROM じょうで RISC-V のプログラムを直接ちょくせつ実行じっこうする

Running code on SPI ROM of TinyFPGA BX with VexRiscv (small) Briey SoC, or XiP (execute-in-place) in short. 前回ぜんかいは、VexRiscv じょうの RISC-V SoC に AXI4 のバスマスタを追加ついかしてみましたが、今回こんかいはそのぎゃくに、AXI4 のバススレーブを追加ついかしてみました。それだけでは面白おもしろくないので、TinyFPGA BX うえの SPI ROM にメモリマップでアクセスでき… つづきをむ »

AXI4 バスマスタを Briey SoC に追加ついかしてみた

Added an AXI4 bus master (DMA) to Briey, RISC-V SoC written by SpinalHDL. ひさしぶりの投稿とうこうです。先日せんじつ、TinyFPGA BX で、AXI4 クロスバきの VexRiscv SoC をうごかしてみました。今回こんかいおもこしげて、この AXI4 クロスバにあたらしいバスマスタ(つまり DMA)を設計せっけいしてつないでみましょう。 今回こんかいはデータの内容ないようとくわないのですが、前回ぜんかい外部がいぶクロック同期どうきで SPI データを受信じゅしんできる… つづきをむ »

TinyFPGA BX で、AXI4 クロスバきの VexRiscv SoC をうごかしてみた

Running VexRiscv SoC with AXI4 crossbar on TinyFPGA BX. 前回ぜんかいまで、VexRiscv プロジェクトで公開こうかいされている Murax という SoC であそんでました。Murax は非常ひじょう小型こがたの SoC 実装じっそうれい(デモ)となっていて、ちいさな論理ろんり規模きぼ実装じっそうできるのがポイントです。しかしながら、わたし今後こんご、この SoC に CPU 以外いがいのバスマスタ(DMA)を追加ついかする実験じっけんをしたいとおもっており、この Murax がっているバス Mura… つづきをむ »

2020ねん4がつ30にち カテゴリー: FPGA SpinalHDL

VexRiscv SoC Murax に、SPI ペリフェラルを追加ついかしてみた

Added SPI Peripheral functionality to Murax (free RISC-V SoC). 以前いぜん、TinyFPGA BX うえ動作どうさする VexRiscv SoC Murax に PWM 機能きのう追加ついかしてみましたが、今回こんかいはもうすこ実用じつようてきなペリフェラルとして、SPI ペリフェラルインターフェイスを追加ついかしてみました。目的もくてきひとつとして、クロックドメインをまたぐ設計せっけいすこ勉強べんきょうしてみたい、ということがあります。 SPI ペリフェラル機能きのう設計せっけい自身じしんも、じつわたしつづきをむ »

VexRiscv とメモリあいだのバス信号しんごうのぞいてみる

Investigating bus transactions between VexRiscv core and memory. FPGA ようの RISC-V 実装じっそうである VexRiscv と、それを使つかった Murax SoC の素晴すばらしいてんひとつに、標準ひょうじゅんで JTAG インターフェイスと、OpenOCD によるデバッグ機能きのう用意よういされていることがげられます。もちろんじつデバイス(FPGA)でも JTAG デバッグができますが、それだけでなくシミュレーションじょうでも同様どうようのことができ… つづきをむ »

VexRiscv (RISC-V) の Murax SoC に自作じさくペリフェラルを追加ついかしてみた

Added a PWM output control to VexRiscv (RISC-V) Murax Soc. 先日せんじつ、VexRiscv Murax SoC を TinyFPGA-BX でうごかす修正しゅうせい紹介しょうかいしましたが、今回こんかい自分じぶんいたちいさなちいさなペリフェラル(PWM 出力しゅつりょく)を APB3 バス経由けいゆで Murax SoC につないでみました。 SpinalHDL によるコードは非常ひじょうにシンプルで明解めいかいです。じゃん。 package flogics.vexriscv.pwm imp… つづきをむ »

[SpinalHDL] VexRiscv を TinyFPGA-BX でうごかしてみる

Just ran softcore processor VexRiscv (RISC-V of course) on TinyFPGA-BX without XIP functionality. 相変あいかわらずの SpinalHDL 日和びよりです。 🙂 今日きょうは、RISC-V ソフトコアプロセッサ実装じっそう有名ゆうめいな VexRiscv を、これまた有名ゆうめいな FPGA ボード TinyFPGA-BX でうごかしてみました。技術ぎじゅつてきにはむずかしくないとおもうのですが、SpinalHDL をらないと、ちょっと… つづきをむ »

[SpinalHDL] 本家ほんけ UART コードを

Reading SpinalHDL original UART code to get better insight. いままで、SpinalHDL で自前じまえの UART をいてきましたが、今日きょうは SpinalHDL 本家ほんけの UART コードをんでみました。どうもわたし人様ひとさまいたコードをむのがあまりきでないようで、ちょっとモチベーションがかなかったのですが、優秀ゆうしゅう先達せんだつ技術ぎじゅつしゃ設計せっけいむのは大事だいじですし(「チャンスがあればいつでもコードをぬすめ」でしたっけ?)、いまならまだ… つづきをむ »

2020ねん3がつ24にち カテゴリー: FPGA SpinalHDL

[SpinalHDL] TinyFPGAを使つかい、自作じさくUART + APB3で文字もじコード変換へんかんしてみる

By adding APB3 and a state machine to my UART, convert character code on TinyFPGA BX. 前回ぜんかいまで、しばらく自作じさくの UART 回路かいろ改良かいりょう(?)してきましたが、しん学期がっきちかいことですし(?)今回こんかい以下いか完成かんせいさせ、自作じさく UART にいちおうケリをつけようとおもいます。 UART 受信じゅしん回路かいろにも APB3(AMBA 3 APB)をもうける シミュレーションコードの掃除そうじ マイコンを使つかわずに文字もじコード変換へんかん小文字こもじつづきをむ »

2020ねん3がつ20日はつか カテゴリー: FPGA SpinalHDL