(Translated by https://www.hiragana.jp/)
VHDL - 维基百科,自由的百科全书 とべ转到内容ないよう

VHDL

本页使用了标题或全文手工转换
维基百科ひゃっか自由じゆうてき百科ひゃっかぜん
VHDL
编程范型并发, 响应しき, かずすえりゅうほど
发行时间1980年代ねんだい
とうぜん版本はんぽん
  • IEEE 1076-2019[1]
編輯維基數據鏈接
かたたい系統けいとうつよ类型
ぶんけん扩展めい.vhd
あみIEEE VASG
衍生ふくかたりげん
VHDL-AMS
啟發けいはつげん
Ada,[2] Pascal
いち个有符号ふごうてき加法かほうてきVHDLげんだい码。

VHDLぜんしょうちょう高速こうそく集成しゅうせい电路かたけん描述语言英語えいごVHSIC very high-speed hardware description language),ざいもと複雜ふくざつほどしき邏輯裝置そうち现场编程逻辑门阵れつ特殊とくしゅ應用おうようせきたい電路でんろてき数字すうじけい设计中有ちゅうう广泛てき应用。

VHDL语言诞生于1983ねん,1987ねんかむ美国びくに国防こくぼうIEEE确定为标じゅんてきかたけん描述语言。从IEEE发布りょうVHDLてきだいいち个标じゅん版本はんぽんIEEE 1076-1987きさきかくだいEDA公司こうしさききさき推出りょう自己じこ支援しえんVHDLてきEDA工具こうぐ。VHDLざい电子设计ぎょう业得いたりょう广泛てき认同。此后IEEEまたさききさき发布りょうIEEE 1076-1993IEEE 1076-2000版本はんぽん

ほどしきげん

[编辑]

ちゅう:VHDL区分くぶん大小だいしょううつし

library ieee;--库声明せいめい声明せいめい工程こうていちゅうもちいいたてき库,这里声明せいめいてきIEEE库
use ieee.std_logic_1164.all;--つつみ声明せいめい声明せいめい工程こうていちゅうもちいいたてきつつみ,这里声明せいめいてきIEEEてきSTD_LOGIC_1164つつみ

單體たんたい(entity)

[编辑]

它負せめ宣告せんこく一個硬體的外部輸入與輸出,いち簡單かんたんてきはんれいとんがくくごうないため必填,ぽうくくごうないためせん):

 entity <實體じったい名稱めいしょう> is
  port(
         a : IN STD_LOGIC;
         b : OUT STD_LOGIC
      );
 end [實體じったい名稱めいしょう];

構(architecture)

[编辑]

它負せめ實現じつげん內部てきかたからだ電路でんろ

architecture <名稱めいしょう> of <實體じったい名稱めいしょう> is
begin
  --此處ここへんうつし構內操作そうさ
end [名稱めいしょう];

くみたい(configuration)

[编辑]

配置はいちようらい描述かく种层与层的连接关系以及实体あずか结构たい间的关系,此处赘述

VHDL编写さわ发器简例:

library ieee;                 	--库声明せいめい
use ieee.std_logic_1164.all;  	--つつみ声明せいめい
entity test is                 	--实体てい
  port(
       d     : in   std_logic;
       clk   : in   std_logic;
       q     : out  std_logic);
end test;
architecture trigger of test is	--结构たいてい
  signal q_temp:std_logic;
begin
  q<=q_temp;
  process(clk)
  begin
    if clk'event and clk='1' then
      q_temp<=d;
    end if;
  end process;
end trigger;
configuration d_trigger of test is--配置はいちはた结构たい配置はいち给实たい配置はいちめい为d_trigger
  for trigger
  end for;
end d_trigger;

まいり

[编辑]

參考さんこう資料しりょう

[编辑]
  1. ^ https://ieeexplore.ieee.org/document/8938196.
  2. ^ David R. Coelho. The VHDL Handbook. Springer Science & Business Media. 30 June 1989 [2021-02-13]. ISBN 978-0-7923-9031-2. (原始げんし内容ないようそん档于2021-07-10).