(Translated by https://www.hiragana.jp/)
集積回路 - Wikipedia コンテンツにスキップ

集積しゅうせき回路かいろ

出典しゅってん: フリー百科ひゃっか事典じてん『ウィキペディア(Wikipedia)』
LSIから転送てんそう
集積しゅうせき回路かいろれい写真しゃしん中央ちゅうおう黒色こくしょく正方形せいほうけい集積しゅうせき回路かいろのパッケージの外観がいかん
集積しゅうせき回路かいろダイ回路かいろ形成けいせいした半導体はんどうたいウェハを四角しかくしたもの)。通常つうじょうはパッケージに封入ふうにゅうされていてえない。これは555 タイマーICのもの。

集積しゅうせき回路かいろ(しゅうせきかいろ、えい: integrated circuit, IC)は、半導体はんどうたい表面ひょうめんに、微細びさいかつ複雑ふくざつ電子でんし回路かいろ形成けいせいしたうえでパッケージに封入ふうにゅうした電子でんし部品ぶひんである。

集積しゅうせき回路かいろは、シリコンたん結晶けっしょうなどに代表だいひょうされる「半導体はんどうたいチップ」[注釈ちゅうしゃく 1]表面ひょうめんに、不純物ふじゅんぶつ拡散かくさんさせることによって、トランジスタコンデンサ抵抗ていこうとして動作どうさする構造こうぞう形成けいせいしたり、アルミ蒸着じょうちゃくエッチングによって配線はいせん形成けいせいしたりすることにより電子でんし回路かいろつくまれている電子でんし部品ぶひんである[注釈ちゅうしゃく 2]

おおくの場合ばあい複数ふくすう端子たんし比較的ひかくてき小型こがた[注釈ちゅうしゃく 3]パッケージ封入ふうにゅうされており、パッケージ内部ないぶ端子たんしからチップに配線はいせんされ、モールドされた状態じょうたい出荷しゅっかされ、半導体はんどうたい部品ぶひん電子でんし部品ぶひん)として流通りゅうつうしている。

1940年代ねんだいまつトランジスタ発明はつめいいで1950年代ねんだい考案こうあんされ、製造せいぞう技術ぎじゅつ微細びさい技術ぎじゅつ進歩しんぽにより内蔵ないぞうされる部品ぶひんすうムーアの法則ほうそくつづけ、性能せいのう向上こうじょうつづけている。(→#歴史れきし

製造せいぞう工程こうていフォトリソグラフィという光学こうがく技術ぎじゅつ利用りようし、微細びさい素子そし配線はいせんをひとつずつてることなく大量たいりょう生産せいさんできるため(→#製造せいぞう工程こうてい)、現在げんざいコンピュータ電子でんし機器ききささえる主要しゅよう技術ぎじゅつひとつとなっている。

歴史れきし

[編集へんしゅう]

集積しゅうせき回路かいろ誕生たんじょう

[編集へんしゅう]

実際じっさい集積しゅうせき回路かいろ考案こうあんしたのは、レーダー科学かがくしゃジェフリー・ダマー(1909ねんまれ)であった。かれイギリス国防省こくぼうしょう王立おうりつレーダー施設しせつはたらき、1952ねん5がつ7にちワシントンD.C.でそのアイデアを公表こうひょうした。しかし、ダマーは1956ねん、そのような回路かいろつくることに失敗しっぱいした。かく企業きぎょう集積しゅうせき回路かいろ実現じつげん目指めざして、RCAのマイクロモジュール、ウェスティングハウス・エレクトリックのモレキュラーエレクトロニクス、テキサス・インスツルメンツのソリッドステートサーキットが開発かいはつされた[1]

初期しょき集積しゅうせき回路かいろ概念がいねんは、モノリシックICというよりのちのハイブリッドICにちかいもので、この概念がいねんにしたがって、基板きばん真空しんくう蒸着じょうちゃく抵抗ていこう素子そしコンデンサ形成けいせいしてトランジスタわせる薄膜うすまく集積しゅうせき回路かいろや、現在げんざいプリンテッドエレクトロニクス相当そうとうする印刷いんさつ技術ぎじゅつにより抵抗ていこう配線はいせん、コンデンサなどを1まいのセラミック基板きばんじょう集積しゅうせきしたあつしまく集積しゅうせき回路かいろ開発かいはつされていった[1]

また、1958ねんにはウェスティングハウスから「Molectronics」という名称めいしょう集積しゅうせき回路かいろ概念がいねん発表はっぴょうされ[2]、1960ねん2がつにSemiconductor Product掲載けいさいされた記事きじ触発しょくはつされて、電気でんき試験しけんしょでも同年どうねん12がつに、見方みかた次第しだいではマルチチップ構造こうぞうのハイブリッドICともいえる、ゲルマニウムのペレット3やく1cmかく樹脂じゅし容器ようき平行へいこう配列はいれつした集積しゅうせき回路かいろ試作しさく成功せいこうした[3][4]

1961ねん2がつには、ウェスティングハウスと技術ぎじゅつ提携ていけいした三菱電機みつびしでんきから、11種類しゅるいのモレクトロンが発表はっぴょうされた[1]日本にっぽん最初さいしょのモノリシック集積しゅうせき回路かいろは、東京大学とうきょうだいがく日本電気にほんでんき (NEC) の共同きょうどう開発かいはつとされる[5]

著名ちょめい集積しゅうせき回路かいろ特許とっきょは、アメリカ合衆国あめりかがっしゅうこく別々べつべつの2つの企業きぎょうの、2人ふたり研究けんきゅうしゃによることなった発明はつめいにそれぞれ発行はっこうされた。テキサス・インスツルメンツジャック・キルビー特許とっきょ「Miniaturized electronic circuits」は1959ねん2がつ出願しゅつがんされ、1964ねん6がつ特許とっきょとなった (アメリカ合衆国あめりかがっしゅうこく特許とっきょだい 3,138,743ごう)。フェアチャイルドセミコンダクターロバート・ノイス特許とっきょ「Semiconductor device-and-lead structure」は1959ねん7がつ出願しゅつがんされ、1961ねん4がつ特許とっきょとなった(アメリカ合衆国あめりかがっしゅうこく特許とっきょだい 2,981,877ごう)。しかし、「キルビー特許とっきょ紛争ふんそう」などとばれるように(ちなみに「キルビー特許とっきょ」にたいし、ノイスの特許とっきょは「プレーナー特許とっきょ」とばれることがある)おおくの議論ぎろん発生はっせいさせることとなった。

技術ぎじゅつてき内容ないようとはほぼ無関係むかんけいに、業界ぎょうかい権益けんえきあらそいとして、特許とっきょ優先ゆうせんけん委員いいんかいにおいてどちらの特許とっきょが「集積しゅうせき回路かいろ特許とっきょとして有効ゆうこうであるか」を、法的ほうてき認定にんていさせるあらそいが勃発ぼっぱつした(技術ぎじゅつてき判断はんだん目的もくてきなのではなく、あくまで「法的ほうてきにどちらが有効ゆうこうか」をみとめさせることが目的もくてきである)。キルビーの特許とっきょ出願しゅつがんから10ねん10かげつ決着けっちゃくし、ノイスの勝利しょうり確定かくていした。しかし、そのような法的ほうてき勝利しょうりは、実際じっさいにはほとんど意味いみがなかった。

ライセンスビジネスてきには、1966ねんテキサス・インスツルメンツフェアチャイルドセミコンダクターふくじゅうすうしゃのエレクトロニクス企業きぎょうが、集積しゅうせき回路かいろのライセンス供与きょうよについて合意ごういたっしていたからであり、技術ぎじゅつ法律ほうりつとビジネスというものについて、教訓きょうくんてき事例じれいとなっている。またさらに日本にっぽんでは、20ねん紆余曲折うよきょくせつて1989ねん特許とっきょとなったことで、莫大ばくだいがく請求せいきゅうとうともな紛争ふんそうとなり「サブマリン特許とっきょ制度せいど」のタチのわるさを際立きわだたせるという役割やくわりにな結果けっかとなった。

キルビーとノイスはのちに、ともにアメリカ国家こっか技術ぎじゅつしょうけ、全米ぜんべい発明はつめい殿堂でんどうりをした。

SSI・MSI・LSI

[編集へんしゅう]

SSI, MSI, LSI というのは、集積しゅうせきする素子そしかずによってICを分類ぶんるい定義ていぎ[6]したものである。「MSI IC」のようにもうものであるが、今日きょうではほぼ使つかわれない。比較的ひかくてき小規模しょうきぼのものをたんにIC、比較的ひかくてきだい規模きぼのものをたんにLSIとしているが、現在げんざいではICとLSIを同義どうぎとして使つかうこともおおい。

初期しょき集積しゅうせき回路かいろはごくわずかなトランジスタを集積しゅうせきしたものであった。これをSSI (Small Scale Integration) とするのであるが、のちにMSI (Middle Scale Integration) やLSI (Large Scale Integration) というかたり同時どうじつくられたとおもわれる、おそらくレトロニムであろう。航空こうくう宇宙うちゅう分野ぶんやのプロジェクトで珍重ちんちょうされ、それによって発展はってんした。ミニットマンミサイルアポロ計画けいかく慣性かんせい航法こうほうよう計算けいさんとして軽量けいりょうのデジタルコンピュータを必要ひつようとしていた。アポロ誘導ゆうどうコンピュータ集積しゅうせき回路かいろ技術ぎじゅつ進化しんかさせるのに寄与きよし、ミニットマンミサイルは量産りょうさん技術ぎじゅつ向上こうじょう寄与きよした。これらの計画けいかくが1960ねんから1963ねんまで生産せいさんされたICをほぼすべった。これにより製造せいぞう技術ぎじゅつ向上こうじょうしたために製品せいひん価格かかくが40ぶんの1になり、それ以外いがい需要じゅようまれてくることになった。

民生みんせいひんとして大量たいりょうのICの需要じゅよう発生はっせいさせたのは電卓でんたくだった。コンピュータ(メインフレーム)でのICの採用さいようは、System/360では単体たんたいのトランジスタをモジュールに集積しゅうせきしたハイブリッド集積しゅうせき回路かいろ(IBMはSLTとんだ)にとどまり、モノリシック集積しゅうせき回路かいろ採用さいようSystem/370からであった。

1960年代ねんだい最初さいしょ製品せいひんがあらわれた汎用はんようロジックICは、やがて品種ひんしゅ大量たいりょうつくられるようになり、コンピュータのようにそれらを大量たいりょう使用しようする製品せいひんや、あるいは家電かでんなど大量たいりょう生産せいさんされる機器ききにも使つかわれるようになっていった。1970年代ねんだいにはマイクロプロセッサあらわれた。

集積しゅうせきたかいMSIやLSIが普通ふつう生産せいさんされるようになると、そのうちそのような分類ぶんるい曖昧あいまいになって、マイクロプロセッサなど比較的ひかくてき複雑ふくざつなものをLSI、汎用はんようロジックICなど比較的ひかくてき単純たんじゅんなものをIC、と大雑把おおざっぱける程度ていど分類ぶんるいとなった。

もとの分類ぶんるいではLSIにすべはいるわけだが、1980年代ねんだい開発かいはつされはじめたよりだい規模きぼ集積しゅうせき回路かいろをVLSI (Very Large Scale Integration) とするようになった。これにより、これまでの多数たすうのICでつくられていたコンピュータに匹敵ひってきする規模きぼマイクロプロセッサ製作せいさくされるようになった。1986ねん最初さいしょの1MbitRAM登場とうじょうした。これは100まんトランジスタを集積しゅうせきしたものである。1993ねん最初さいしょPentiumにはやく310まんのトランジスタが集積しゅうせきされている。また、設計せっけいのルールはそれ以前いぜん比較ひかくして設計せっけい容易よういにした。

また、カーバー・ミードリン・コンウェイの『ちょうLSIシステム入門にゅうもん[7]によりVLSIにマッチした設計せっけい手法しゅほう提案ていあんされた。これはMead & Conway revolution(en:Mead & Conway revolution)とばれることもあるなどの影響えいきょうをもたらした。たとえば、1950年代ねんだいには、大学だいがく最先端さいせんたんのコンピュータを実際じっさい建造けんぞうするなどといったこともさかんだったわけであるが、1970ねんごろ以降いこうにはコストのてん現実げんじつてきではなくなっていた。それが、CADきゃどとうたすけによりパターンを設計せっけいしてチップする、という手法しゅほうで、大学だいがくなどでも最先端さいせんたん実際じっさい研究けんきゅうがまた可能かのうになった、といった変化へんかもたらしたのがいちれいである。たとえば初期しょきRISCとして、IBM 801、バークレイRISC(SPARCへの影響えいきょうおおきい)、スタンフォードけいMIPSがまずがるが、後者こうしゃ2つにはその影響えいきょうがある。

VLSIにつづいて、あらたに ULSI (Ultra-Large Scale Integration) というかたりつくられ、集積しゅうせきされる素子そしすうが100まん以上いじょうとも1000まん以上いじょうともされているが、そのような集積しゅうせき集積しゅうせき回路かいろも、今日きょう普通ふつうはVLSIとしている。

WSI (Wafer-Scale Integration) は、複数ふくすうのコンピュータ・システムとう全体ぜんたいをウェハーじょうつくみ、個別こべつのダイにはなさずにウェハーのおおきさのままで使用しようするという構想こうそうである[注釈ちゅうしゃく 4]現状げんじょうでは、1ひんもので、コストが非常ひじょう高額こうがくであってもいというような特殊とくしゅ用途ようと特殊とくしゅ要求ようきゅうもとづき生産せいさんするような装置そうち採用さいようされている。たとえば、人工じんこう衛星えいせい天体てんたい観測かんそく望遠鏡ぼうえんきょう光学こうがく受像じゅぞう素子そしでは、つなぎわせてつくるとゆがみや隙間すきましょうずるので、1まいのウェハーの全面ぜんめん使用しようしたものつくられている。

System-on-a-chip (SoC) は、従来じゅうらい別々べつべつのダイで構成こうせいされていたものを統合とうごうすることで、独立どくりつして動作どうさするシステム全体ぜんたいをひとつの集積しゅうせき回路かいろじょう実現じつげんするものである。たとえば、マイクロプロセッサとメモリ、周辺しゅうへん機器ききインターフェースなどを1つのチップに集積しゅうせきするものである。

固体こたい撮像さつぞう素子そし

[編集へんしゅう]

集積しゅうせき回路かいろ技術ぎじゅつ進歩しんぽいちれいであるが、以前いぜん撮像さつぞうかんなどとばれる真空しんくうかんだった、映像えいぞう撮影さつえいする撮像さつぞう素子そしも、電荷でんか結合けつごう素子そし (CCD) の技術ぎじゅつ開発かいはつすすみ、固体こたい撮像さつぞう素子そしとしてCCDイメージセンサつくられ、家庭かていようビデオカメラの大幅おおはば小型こがたなどにまず貢献こうけんした。つづいてCMOSイメージセンサつくられた。やがて静止せいし写真しゃしんようにも十分じゅうぶん解像度かいぞうどつようになり、デジタルカメラぎんしおカメラを一掃いっそうした。

伸縮しんしゅくたた可能かのうなシリコン集積しゅうせき回路かいろ

[編集へんしゅう]

このシステムは、たん結晶けっしょう硅素けいそ無機むき整列せいれつアレイをふく無機むき電子でんし材料ざいりょうと、きょくうすのプラスチックやエラストマー基板きばん統合とうごうしている。[8]

回路かいろ設計せっけい

[編集へんしゅう]

製造せいぞう工程こうてい

[編集へんしゅう]

半導体はんどうたい製造せいぞうは、ウェハーうえ回路かいろ形成けいせいするぜん工程こうていと、そこでつくられたウェハーをダイに切断せつだんし、パッケージに搭載とうさいしたのち最終さいしゅう検査けんさおこなのち工程こうていおおきく二分にぶんされる。なお、これらの工程こうてい一般いっぱん複数ふくすう工程こうてい専門せんもん企業きぎょうがそれぞれの工場こうじょう順次じゅんじっていくものである。1しゃですべての工程こうていおこなうケースはほぼなく、あったとしても非常ひじょうまれである。

一般いっぱんてきには、設計せっけい・ウェハー製造せいぞう表面ひょうめん処理しょり回路かいろ形成けいせい・ダイシング・もとざい製造せいぞう・ボンディングのかく工程こうてい専業せんぎょう企業きぎょう存在そんざいし、デザイン・ウェハーし・アンダーフィリング・検査けんさ前記ぜんきからかれて専業せんぎょうしている場合ばあいくわえてかく工程こうてい使用しようされる材料ざいりょう加工かこうにも専業せんぎょうメーカーが存在そんざいする。ひとつの集積しゅうせき回路かいろパッケージが出来上できあがるまでにかかわるメーカーのかずすくなくとも5、おおいときには30しゃともわれる。

ウェハー製造せいぞう

[編集へんしゅう]

集積しゅうせき回路かいろははざいとなるウェハーの原材料げんざいりょうは、半導体はんどうたい性質せいしつ物質ぶっしつである。一般いっぱんてき集積しゅうせき回路かいろではそのほとんどがシリコンであるが、高周波こうしゅうは回路かいろではちょう高速こうそくスイッチングが可能かのうガリウムてい電圧でんあつ高速こうそく回路かいろつくりやすいゲルマニウム利用りようされる。

集積しゅうせき回路かいろ歩留ぶどまりとコストは、ウェハーの原材料げんざいりょうであるたん結晶けっしょうインゴットの純度じゅんどたかさと結晶けっしょう欠陥けっかんかず、そして直径ちょっけいおおきく左右さゆうされる。2007ねんまつ現在げんざいのウェハーの直径ちょっけいは300 mmにたっする。インゴットのサイズをげるには、従来じゅうらい技術ぎじゅつだけでは欠陥けっかんひくくすることがむずかしく、おおくのメーカーがそろってかべたった時期じきがあった。シリコンたん結晶けっしょう装置そうちのるつぼをちょう伝導でんどう磁石じしゃくかこみこみ、溶融ようゆうしたシリコンの対流たいりゅう強力きょうりょく磁場じばめることで欠陥けっかんすくないたん結晶けっしょう製造せいぞう可能かのうになった。

ぜん工程こうてい

[編集へんしゅう]
ぜん工程こうていによって回路かいろ出来上できあがったウェハー。

ぜん工程こうていは、設計せっけいしゃによってつくられた回路かいろのレイアウトにしたがってウェハーじょう集積しゅうせき回路かいろつく工程こうていである。光学こうがく技術ぎじゅつ精密せいみつ加工かこう技術ぎじゅつ真空しんくう技術ぎじゅつ統計とうけい工学こうがく、プラズマ工学こうがく無人むじん技術ぎじゅつ微細びさい繊維せんい工学こうがく高分子こうぶんし化学かがく、コンピュータ・プログラミング、環境かんきょう工学こうがくなど多岐たきにわたる技術ぎじゅつによって構成こうせいされる。

表面ひょうめん処理しょり

[編集へんしゅう]

集積しゅうせき回路かいろ半導体はんどうたい表面ひょうめん各種かくしゅ表面ひょうめん処理しょり複数ふくすう実施じっしして製造せいぞうされる。まずウェハーにはイオン注入ちゅうにゅうによってドープ物質ぶっしつみ、不純物ふじゅんぶつ濃度のうどたかめる措置そちおこなわれる(最初さいしょつくられるこのそうがゲートなどの集積しゅうせき回路かいろ中枢ちゅうすうとなる)。さらにSOIではウェハーに絶縁ぜつえんそうきこむかわせることで電流でんりゅうさえ処置しょちおこなわれる。そしてレジストまく塗布とふ、ステッパーによる露光ろこう現像げんぞう処理しょりによるレジスト処理しょり複数ふくすうおこない、そのあいだ回路かいろ構造こうぞうぶつ母体ぼたいとなるシリコンの堆積たいせきイオン注入ちゅうにゅうによるドープ物質ぶっしつ注入ちゅうにゅう、ゲートや配線はいせん土台どだいとなる絶縁ぜつえんまく生成せいせい金属きんぞくスパッタリングによる配線はいせん、エッチングによる不要ふよう部分ぶぶん除去じょきょなどがおこなわれる(フォトリソグラフィ)。集積しゅうせき回路かいろ立体りったいてき複雑ふくざつさを配線はいせんそう枚数まいすうかぞえることから4そうメタル・6そうメタルとう表現ひょうげんする。この表面ひょうめん処理しょり技術ぎじゅつ現在進行形げんざいしんこうけいであり、2014ねん現在げんざいではHigh-K絶縁ぜつえんまく添加てんかぶつみ、メタルゲート、窒化ぶつ半導体はんどうたい素子そしなどあらたな技術ぎじゅつ導入どうにゅうされている。さらにあたらしい技術ぎじゅつは、より微細びさいしたプロセス・ルールとともるとわれている。

クリーンルーム

[編集へんしゅう]

半導体はんどうたい工場こうじょう生産せいさんラインは、それ自体じたい巨大きょだいクリーンルームとなっている。生物せいぶつがくてきクリーンルームよりも、半導体はんどうたい製造せいぞう現場げんばのほうがはるかに清浄せいじょうたかい。ウェハーじょうの1つの細菌さいきん細胞さいぼうは、トランジスタ100ちかくをおおかくす。2008ねん先端せんたんプロセス・ルールである45nmは、ウイルス以下いかおおきさである。製造せいぞうちゅう半導体はんどうたいは、人間にんげんがいる環境かんきょうではどこにでもあるナトリウム大変たいへんよわく、それが絶縁ぜつえんまく浸透しんとうするため、とくCMOSトランジスタには致命ちめいてき欠陥けっかんになる。

半導体はんどうたい工場こうじょうのクリーンルームない導入どうにゅうされる空気くうきは、部屋へや場所ばしょごとに設定せっていされたクリーンおうじて、なんHEPAフィルターULPAフィルターで、空中くうちゅう微粒子びりゅうししとられたものが使つかわれる。またみずイオン交換こうかん樹脂じゅしとフィルターによって、空気くうき同様どうよう水中すいちゅう微粒子びりゅうし徹底的てっていてき除去じょきょされたちょうじゅんみず使用しようしている。

大量たいりょうのナトリウムをふくみ、皮膚ひふから大量たいりょう角質かくしつ細胞さいぼう破片はへん落下らっかさせ、振動しんどうをもたらすヒトは、半導体はんどうたいプロセスにとってがいをなす以外いがいなにぶつでもなく、クリーンスーツ、いわゆる“宇宙うちゅうふく”をて、製造せいぞうラインを汚染おせんしないようにしている。もっとも工場こうじょう高度こうど自動じどうされており、人間にんげん製造せいぞうラインに出向でむくのは、機械きかい故障こしょうといったトラブルがあったときだけである。

ウェハーテスト

[編集へんしゅう]

ウェハーじょうへの回路かいろ形成けいせい完了かんりょうしたら、半導体はんどうたい試験しけん装置そうちもちいて回路かいろ正常せいじょう機能きのうするかを確認かくにんするウェハーテストをおこなう。半導体はんどうたい動作どうさ特性とくせい温度おんどにも左右さゆうされるため、常温じょうおんくわ高温こうおん低温ていおんでの試験しけんおこなわれる。

ウェハーテストの結果けっかはダイにマーキングされ、後述こうじゅつするのち工程こうていでは良品りょうひんとマークされたダイのみが対象たいしょうとなる。

欠陥けっかん救済きゅうさい
[編集へんしゅう]

ダイ面積めんせきおおきいちょうだい規模きぼ集積しゅうせき回路かいろでは、チップじょうひとつも欠陥けっかんがない完璧かんぺき製品せいひんつくることは非常ひじょうむずかしい。そこで、設計せっけい段階だんかい予備よび回路かいろまえもって追加ついかし、ウェハーテストで不良ふりょう検出けんしゅつされたときにそこを予備よび回路かいろおぎなうことで歩留ぶどまりをげる救済きゅうさいおこなわれる。回路かいろえは、回路かいろじょう形成けいせいされたヒューズを、レーザーまたはウェハーテストちゅう電流でんりゅうながして切断せつだんすることで実現じつげんしている。

DRAMフラッシュメモリでは、製品せいひんめられた容量ようりょうくわ予備よびのメモリ領域りょういき用意よういしておき、不良ふりょう箇所かしょをテストでつけた時点じてん配線はいせんのヒューズを予備よび領域りょういきえることが一般いっぱんてきおこなわれる。また、CPUでオンダイのコプロセッサや、マルチコアプロセッサのかくコアなど、その内部ないぶ不良ふりょうがあった場合ばあいにはそれをはなして、ラインナップちゅうていグレードの製品せいひんとする、あるいは最初さいしょからすべてが機能きのうすることは期待きたいしない、といった手法しゅほうもある。たとえば、CellプロセッサはSynergistic Processor Elementシナジスティック・プロセッサー・エレメントをマスクパターンとしては8用意よういしているが、ゲームPlayStation 3では、使用しよう可能かのうなSynergistic Processor Elementを7設定せっていし、不良ふりょうコアがひと発生はっせいしているダイでも利用りよう可能かのうとした。

こう工程こうてい

[編集へんしゅう]
ダイシング工程こうていによりウェハーからしたチップ

ぜん工程こうてい良品りょうひんとしてマーキングされた回路かいろをウェハーからし、シートにけてパッケージに搭載とうさいする。端子たんしとの配線はいせん樹脂じゅしふうし、最終さいしゅう製品せいひんかたちになる。その初期しょき不良ふりょうをあぶりすバーンイン試験しけん製品せいひん機能きのう確認かくにんするファイナルテストを出荷しゅっかされる。

ダイシング

[編集へんしゅう]

ダイシング工程こうていでは、ぜん工程こうてい製造せいぞうされたウェハーをチップのかたちはなす。ダイシングには、うす砥石といしもちいて切断せつだんする方法ほうほうと、レーザーをもちいる方法ほうほう主流しゅりゅうである。

ボンディング

[編集へんしゅう]
ワイヤーボンディングの。パッケージ端子たんしであるリードフレームとチップの端子たんしがボンディングワイヤーで接続せつぞくされている。
フリップチップボンディングのうえいているのがチップで、それにくっついているまる突起とっきがバンプである。したがチップをけるパッケージ基板きばんで、ならんでいる四角よつかど部分ぶぶんがバンプとの接合せつごうめんになる。

チップをパッケージ基板きばん搭載とうさいし、チップがわ端子たんしとパッケージの端子たんし接続せつぞくする工程こうていはボンディングとばれる。おもなボンディング手法しゅほうしたしめす。

ワイヤ・ボンディング
[編集へんしゅう]
チップじょう接続せつぞく端子たんしであるボンディングパッドとパッケージ端子たんしほそ金属きんぞくせん接続せつぞくする方法ほうほう加工かこう容易たやすさと電気でんき抵抗ていこうひくさから、材質ざいしつにはきむアルミニウムがよくもちいられる。
フリップチップボンディング
[編集へんしゅう]
チップじょうにバンプとばれる接続せつぞくよう突起とっきせ、そのめんをパッケージ基板きばんわせて接続せつぞくする方法ほうほう。チップ全面ぜんめん接続せつぞく使つかえるため、端子たんしすうおおくかつチップ面積めんせきちいさい集積しゅうせき回路かいろでよく利用りようされる。

ふうとめ

[編集へんしゅう]

ボンディングによる配線はいせん完了かんりょうしたら、外部がいぶからの衝撃しょうげき水分すいぶんから集積しゅうせき回路かいろ保護ほごするふうとめおこなう。一般いっぱんてき集積しゅうせき回路かいろでは、モールドざいでチップやボンディングワイヤーを保護ほごするための注入ちゅうにゅう成形せいけいおこなう。集積しゅうせき回路かいろくろ外見がいけんはこの樹脂じゅしによるものである。樹脂じゅしかたまったのち、チップごとはなせば集積しゅうせき回路かいろ完成かんせいする。近年きんねんCPUGPU液晶えきしょうドライバICなどのちょう精密せいみつ集積しゅうせき回路かいろにはモールドざいもちいず、アンダーフィルばれるいちえき硬化こうか樹脂じゅしもちいる。ボンディングののちもとざいとICあいだ注入ちゅうにゅうおこないキュアばれる装置そうちでリフローし、硬化こうかさせる。

バーンイン

[編集へんしゅう]
バスタブカーブのグラフ。不良ふりょう発生はっせいしめあおいグラフは、初期しょき不良ふりょう期間きかんこう故障こしょうりつて、偶発ぐうはつ故障こしょう期間きかん移行いこうする様子ようすしめしている。

集積しゅうせき回路かいろ故障こしょうりつ一般いっぱんてきバスタブカーブばれるかくりつ分布ぶんぷしたがう。バスタブカーブでは、使用しよう開始かいし直後ちょくごたか不良ふりょうりつしめ初期しょき不良ふりょう期間きかんて、ひく不良ふりょうりつ維持いじする偶発ぐうはつ故障こしょう期間きかん移行いこうする。劣化れっか加速かそくする条件下じょうけんか短時間たんじかん集積しゅうせき回路かいろ動作どうささせることでこの初期しょき不良ふりょうをあぶり工程こうていがバーンイン(burn-in、焼入やきいれ。エイジングとも)である。バーンインであぶりされた初期しょき不良ふりょうつぎ品質ひんしつ検査けんさによってのぞかれる。

具体ぐたいてきには、高温こうおん一定いってい時間じかん集積しゅうせき回路かいろ電流でんりゅうながすことで劣化れっか加速かそくしている。これは、劣化れっか化学かがく反応はんのうとしてとらえた場合ばあい劣化れっか速度そくど温度おんどアレニウスのしき関係かんけいしたがうとのかんがかたによるものである。

品質ひんしつ検査けんさ

[編集へんしゅう]

最後さいごに、集積しゅうせき回路かいろ製品せいひんとして正常せいじょう機能きのうするかを確認かくにんする検査けんさおこなう。ふうとめ樹脂じゅしけやひび、リードフレームやBGAパッケージのボール端子たんし異常いじょういかを確認かくにんする外観がいかん検査けんさ、ボンディングによる電気でんき接続せつぞく確実かくじつおこなわれ、チップが完全かんぜん動作どうさするかを半導体はんどうたい検査けんさ装置そうち確認かくにんする電気でんき検査けんさおこなわれる。

プログラム

[編集へんしゅう]

EEPROMフラッシュメモリなどの記憶きおく素子そし混載こんさいした製品せいひんでは、プログラムをそれらに作業さぎょうおこなわれる。プログラムの内容ないようえることで、同一どういつのマスクからことなるグレードや入出いりで端子たんしことなる集積しゅうせき回路かいろつくすことができる。またCPUとう製品せいひんで、実際じっさい動作どうさ可能かのう最高さいこう速度そくどおうじたクロック倍率ばいりつ後処理あとしょり設定せっていすることで、グレードのことなる製品せいひんどういち生産せいさんラインから製造せいぞうしている。

プロセス・ルール

[編集へんしゅう]

プロセス・ルールとは、集積しゅうせき回路かいろをウェハーに製造せいぞうするプロセス条件じょうけんをいい、最小さいしょう加工かこう寸法すんぽうもちいてあらわす。プロセス・ルールによって、回路かいろ設計せっけいでの素子そし配線はいせん寸法すんぽう規定きていするデザイン・ルールがまる。

通常つうじょう最小さいしょう加工かこう寸法すんぽうはゲート配線はいせんはばまたは間隔かんかくである。ゲート配線はいせんはばせまくできれば、金属きんぞく酸化さんかぶつ電界でんかい効果こうかトランジスタ (MOSFET) のゲートちょうみじかくなるから、ソースとドレインの間隔かんかくみじかくなり、チャネル抵抗ていこうちいさくなる。したがって、トランジスタの駆動くどう電流でんりゅうおおきくなり、高速こうそく動作どうさ期待きたいできる。このため、プロセス・ルールは、高速こうそく期待きたいして、ゲートちょうのことを場合ばあいもある。とくにDRAMプロセスでは、ゲートちょうはゲート配線はいせん最小さいしょう寸法すんぽう使つかわない場合ばあいがあるし、拡散かくさんそうとメタルそう導通どうつうさせるコンタクトのみち最小さいしょう加工かこう寸法すんぽう場合ばあいもある。つまり、プロセス・ルールは、製造せいぞうじょう技術ぎじゅつてき高度こうどさや困難こんなんさをしめ指標しひょうえる。

プロセス・ルールが半分はんぶんになれば、ダイの外部がいぶ配線はいせんのぞけば、おな面積めんせきに4ばいのトランジスタや配線はいせん配置はいちできるため、おなじトランジスタすうでは4-1ばい (4ぶんの1) の面積めんせきになる。ダイ面積めんせきが4ぶんの1に縮小しゅくしょうできれば1まいのウェハーかられるダイが4ばいになるだけでなく、歩留ぶどまりが改善かいぜんされるためさらにおおくのダイがれる。トランジスタ素子そしちいさくなればMOSFETのチャネルちょうみじかくなり、ON/OFFの閾値の電圧でんあつ (Vth) をげられ、てい電圧でんあつ高速こうそくのスイッチング動作どうさ可能かのうとなるため、リーク電流でんりゅう問題もんだいかんがえなければ、消費しょうひ電力でんりょくげながら性能せいのう向上こうじょうする。

伝播でんぱ遅延ちえんつぎしきあらわされる関係かんけいしたがう。

    •  : 伝播でんぱ遅延ちえん
    •  : 負荷ふか容量ようりょう
    •  : 電源でんげん電圧でんあつ
    •  : ゲート酸化さんかまくあつ
    • L : ゲートちょう
    • W : ゲートはば
    •  : キャリア移動いどう
    •  : ゲート酸化さんかまく誘電ゆうでんりつ
    •  : しきい電圧でんあつ[9]

プロセス・ルールは、フォトマスクからウェハーに回路かいろ転写てんしゃする半導体はんどうたい露光ろこう装置そうち光学こうがく分解能ぶんかいのうや、エッチング工程こうてい寸法すんぽう変換へんかん改善かいぜんなどで更新こうしんされてきた。プロセス・ルールの将来しょうらい予測よそくは、ムーアの法則ほうそく引用いんようされることがおおい。

半導体はんどうたい露光ろこう装置そうち非常ひじょうたか工作こうさく精度せいど要求ようきゅうされ、製造せいぞうだい部分ぶぶん人間にんげん手作業てさぎょうおこなわれる。ウェハーをせるスライドテーブルは、たか水平すいへい実現じつげんするために非常ひじょうにキメのこまかい砥石といし職人しょくにんみがいたレールのうえせられる。微細びさいパターンをウェハーじょう転写てんしゃする光学こうがくけいには、原子げんし単位たんい表面ひょうめんきょくりつ修正しゅうせいされているちょうこう精度せいどなレンズがもちいられている。

微細びさい

[編集へんしゅう]

半導体はんどうたい露光ろこう装置そうちメーカーは1しゃか2しゃ最先端さいせんたん半導体はんどうたいメーカーと共同きょうどうつぎ世代せだい次々つぎつぎ世代せだい半導体はんどうたい露光ろこう装置そうち開発かいはつし、まずその半導体はんどうたいメーカーにけて製造せいぞうする。その開発かいはつによってされた装置そうちを、2 - 3ねん程度ていど最先端さいせんたんつづ半導体はんどうたいメーカーが量産りょうさんのために購入こうにゅうするころには最先端さいせんたん半導体はんどうたいメーカーはそのさき世代せだい試験しけん運用うんようをはじめる。この循環じゅんかんがあるために演算えんざんプロセッサのプロセスルールは、350 nm/250 nm/180 nm/130 nm/90 nm/65 nm/45 nm/32 nm/22 nm/14 nm/10 nm といったびとびのになるのが普通ふつうである。最先端さいせんたんのプロセス・ルールは2020ねん時点じてんで5nmにたっしていて[10]、3 nm, 2 nmと微細びさいすすんでくと予想よそうされている[11][12][13]一方いっぽうDRAMやフラッシュメモリのような記憶きおくよう半導体はんどうたいでは小刻こきざみにプロセスルールを縮小しゅくしょうしている。DRAMにおける一般いっぱんてきなプロセス・ルールは2007ねんには65nm、2008ねんには57 nmと縮小しゅくしょうおこない、2013ねんには32 nmを想定そうていしている。これは、製品せいひん急激きゅうげきてい価格かかくによってかくメーカーが新規しんき投資とうしひかえ、既存きそん設備せつび改善かいぜんによって生産せいさんせい向上こうじょうさせることがねらいである[14]。ただし最先端さいせんたん微細びさい要求ようきゅうされる携帯けいたい端末たんまつけなどには、2010ねん時点じてんで25nmの製品せいひんが、2020ねん時点じてんで10 nmの製品せいひん投入とうにゅうされている[15]

  • 2015ねん、2016ねんだい5世代せだいだい6世代せだいのIntel Coreを14 nmで製造せいぞうしている。2016ねんちゅうに10 nmを実用じつよう実際じっさいには2019ねん[16])、2017ねんには7 nm(実際じっさいには2023ねん予定よてい[17])へ[18]
  • 2015ねん7がつ、IBMは7 nmプロセスの試作しさくひん発表はっぴょう[19]いちけたナノプロセスの時代じだいむかえる。
  • 2016ねん3がつ、インテルはXeon E5-2600 v4 CPU、14 nm、22コア/44スレッドを発売はつばい[20]
  • 2016ねん3がつ、サムスンは18 nmといわれるDRAMを出荷しゅっか
  • 2020ねん9がつTSMCの5 nmプロセスによるApple A14出荷しゅっかされる[21]

微細びさいによってプロセスルールが使つかわれる光源こうげん波長はちょうよりもみじかくなると、ひかり回折かいせつ干渉かんしょうによってマスクのかたちとウェハーじょうつくられるぞうちがいがおおきくなり、設計せっけいどおりの回路かいろ形成けいせいできなくなる。この問題もんだい解決かいけつするため、回路かいろ設計せっけいにあらかじめこれらの光学こうがく効果こうかんでおく光学こうがく近接きんせつ効果こうか補正ほせいが130 nm以下いかのルールでおこなわれるようになった。光学こうがく近接きんせつ効果こうか補正ほせいは、EDAによる自動じどう普及ふきゅうしている。

2020ねんごろには、5nmに到達とうたつし、CMOSを使つかった微細びさい限界げんかいおとずれるとの推測すいそくされており、あたらしい素材そざい構造こうぞう研究けんきゅう微細びさいたよらない手段しゅだんによる集積しゅうせき向上こうじょう模索もさくされている[22]

また携帯けいたい電話でんわ小型こがたカメラ撮像さつぞう素子そしではフットプリントの都合つごうじょう非常ひじょう微細びさいしたイメージセンサーを使つかう。しかし、このセンサーの画素がそ密度みつど可視かしこう波長はちょうでは従来じゅうらいのカラーフィルタ方式ほうしきがまったくやくにたなくなる。このため、メタルそうひかり回折かいせつさせて分光ぶんこうおこなったり、窒化ぶつ半導体はんどうたい素子そし使つかって分光ぶんこうすることにより、プロセスルールよりもはるかになが可視かしこうをフォトダイオードにみちびく。APS-Cサイズで2000まん画素がそえるものも同様どうようである[23]

歩留ぶどまり

[編集へんしゅう]

歩留ぶどまりとは、ウェハーかられるすべてのダイにたいする良品りょうひんダイの割合わりあいし、イールド・レート (yield rate) ともばれる。PCようのCPUのように、おな生産せいさんラインでおな製造せいぞう工程こうてい製品せいひんを、完成かんせい製品せいひんからテストによってグレード(動作どうさ周波数しゅうはすう)をることがあるので、グレードをげれば(ていクロックでしか動作どうささせられないCPUでも良品りょうひんなせるため)歩留ぶどまりががるという結果けっかになる。

半導体はんどうたい故障こしょう解析かいせき

[編集へんしゅう]

半導体はんどうたい故障こしょう解析かいせきとは、きわめておおくの素子そし集合しゅうごうたいである集積しゅうせき回路かいろいて、何処どこが、どのように、こわれているのかを解析かいせきする技術ぎじゅつである。LSIテスタ(半導体はんどうたい試験しけん装置そうち)では、良品りょうひんであることはかっても、その回路かいろ何処どこ異常いじょうがあるのかまではからない。かずせんまんものトランジスタが集積しゅうせきされた回路かいろいて、そのひとひとつを試験しけんしていくのは現実げんじつてきではなく、また、それ以上いじょう配線はいせん不良ふりょうなどもありる。したがって、集積しゅうせき回路かいろ登場とうじょう当初とうしょから、集積しゅうせき向上こうじょうともなって、故障こしょう解析かいせき技術ぎじゅつ進歩しんぽしている。

分類ぶんるい

[編集へんしゅう]

構成こうせい

[編集へんしゅう]

モノリシック集積しゅうせき回路かいろ

[編集へんしゅう]
CANがたICの内部ないぶ

モノリシック集積しゅうせき回路かいろは1へんのチップに、トランジスタ、ダイオード、抵抗ていこうなどの回路かいろ素子そし形成けいせいし、素子そしあいだアルミニウムなどの蒸着じょうちゃくによって配線はいせんしたのちかずmm - じゅうすうmmかく小片しょうへんしたものである。工数こうすうすくないため安価あんかである。

シリコン(Si、珪素けいそたん結晶けっしょう基板きばんじょう平面へいめんじょう構成こうせいするトランジスタ(プレーナがたトランジスタ)を発展はってんさせたものである。アナログICとデジタルICのどちらも1960年代ねんだいから発展はってんはじまっているが、1990年代ねんだいには製造せいぞうプロセスの進歩しんぽにより高度こうどなアナログ・デジタル混在こんざい回路かいろられるようになった。

ハイブリッド集積しゅうせき回路かいろ

[編集へんしゅう]
ハイブリッド集積しゅうせき回路かいろ
マルチチップモジュール

比較的ひかくてきちいさいプリント基板きばんに、多数たすう個別こべつ部品ぶひん複数ふくすうのチップ(マルチチップモジュール)などを直接ちょくせつ高密度こうみつどさらには立体りったいてき実装じっそう配線はいせんし、さらにモールドするなどして一体いったい部品ぶひんとしたものである。

制御せいぎょ回路かいろ一体化いったいかされただい電力でんりょく増幅ぞうふく回路かいろやスイッチング回路かいろ(インテリジェントパワーモジュール)や、高密度こうみつど実装じっそう要求ようきゅうされる携帯けいたい機器きき自動車じどうしゃ航空機こうくうき軍事ぐんじよう集積しゅうせき回路かいろ同士どうし距離きょり演算えんざん速度そくど影響えいきょうあたえるスーパー・コンピュータやメインフレーム・コンピュータなどにもちいられる。メインフレームコンピュータやスーパーコンピュータで使つかわれるマルチチップモジュールは100そうえるセラミック基板きばんしょうゆい生成せいせいした非常ひじょう高度こうど立体りったい回路かいろ構成こうせいしている。プリント基板きばんにおいてもビルドアップとばれる、複数ふくすう多層たそう基板きばんわせて回路かいろ構成こうせいする技術ぎじゅつ開発かいはつされているため、ハイブリッド集積しゅうせき回路かいろ多層たそう製品せいひんとプリント基板きばん多層たそう製品せいひん境目さかいめくなっている。

IBMのSystem/360使つかわれた「SLT」としょうされたハイブリッド集積しゅうせき回路かいろ

パッケージ

[編集へんしゅう]

機能きのうべつ分類ぶんるい

[編集へんしゅう]

ASIC、システムLSI(特定とくてい用途ようとけ IC・LSI)

[編集へんしゅう]

デジタル制御せいぎょようLSI

[編集へんしゅう]

汎用はんようメモリ

[編集へんしゅう]

専用せんようメモリ

[編集へんしゅう]

アナログ集積しゅうせき回路かいろ

[編集へんしゅう]

ふくあい製品せいひん

[編集へんしゅう]

セキュリティチップ

[編集へんしゅう]

コンピューターにたいタンパー性能せいのうあたえるためのSystem-on-a-chipモジュール。I/Oポート電源でんげん端子たんしのみをそなえ、マイクロコントローラーとしてすべてのロジックをワンチップに収納しゅうのうしてある。かぎ管理かんりかぎブロックの登録とうろくはらし・Worm機能きのうなどがまれ、ちゅうあいだしゃ攻撃こうげきサイドチャネル攻撃こうげきからコンピューターシステムを防御ぼうぎょする。世界せかいもっとおお使つかわれているセキュリティチップがICカードである。システム防衛ぼうえいようとして使つかわれるが、通常つうじょうスタンドアロンで動作どうさするものい。バックエンドシステムにデータベースそなえ、そのデータベースにアクセスするかぎ格納かくのうされる(過去かこじつデータを格納かくのうするICカードもあったがたいタンパーせいわるさから、B-CASカードとう限定げんてい受信じゅしんシステム以外いがい撤退てったいしている。日本にっぽん、EUではカードが解析かいせきかいざんされ限定げんてい受信じゅしんシステムが崩壊ほうかいした)。おサイフケータイSuicaなどでられるワイヤレス電子でんしマネー・電子でんし発券はっけんシステムもセキュリティチップである。このシェアはソニー開発かいはつしたFelica主流しゅりゅうであり、NFCとしてISO標準ひょうじゅんされた。携帯けいたい電話でんわのSIMカードもセキュリティチップである。Microsoft WindowsWindows Vistaから、セキュリティチップの本格ほんかく採用さいようはじめた。セキュリティチップに電子でんし証明しょうめいしょ格納かくのうし、ハードディスクを暗号あんごうする。それ以前いぜん電子でんし署名しょめいベースのEFS搭載とうさいしていたが、ユーザープロファイルの消滅しょうめつがユーザー証明しょうめいしょ喪失そうしつにつながりデータを損失そんしつする事故じこがあった。またシステム全体ぜんたい暗号あんごうすることができなかった。インテルvProとしてWindows NTにセキュリティチップをオプションで採用さいようした暗号あんごうシステムを提供ていきょうしていた。しかし一般いっぱんユーザーには利用りようされず、おもにITプロフェッショナルが運用うんようするだい規模きぼシステムでつかわれた。

たいタンパーせい技術ぎじゅつ日々ひび進歩しんぽしており、ながかぎ処理しょりできる高性能こうせいのうプロセッサの搭載とうさいひかり消去しょうきょEPROMによるチップしの困難こんなん(チップにひかりてるとフローティングゲートから電荷でんか流出りゅうしゅつしてデータが消滅しょうめつする)など改良かいりょうかさねられている。

脚注きゃくちゅう

[編集へんしゅう]

注釈ちゅうしゃく

[編集へんしゅう]
  1. ^ 専門せんもんてきには「ダイ」ともぶ。
  2. ^ 個別こべつ部品ぶひん集積しゅうせきした「ハイブリッド集積しゅうせき回路かいろ」などもふくめる場合ばあいもあるが、ここではそちらへの言及げんきゅう割愛かつあいする。
  3. ^ おおくの場合ばあい端子たんしかずとその間隔かんかくが、パッケージのサイズの要因よういんとなっている。
  4. ^ 1980年代ねんだい商用しょうようしようとしたれいもあったが、とめ制約せいやくえられずに失敗しっぱいしている。WSIの実用じつよう優先ゆうせんたかくない。(トリロジー・システムズ英語えいごばん記事きじなどでられる)

出典しゅってん

[編集へんしゅう]
  1. ^ a b c 1960年代ねんだいはつ 国産こくさんICのスタート, http://www.shmj.or.jp/museum2010/exhibi719.htm 
  2. ^ じょうばん俊吉しゅんきちわたしとハイブリッドマイクロエレクトロニクスの出会であい -戦後せんご40ねんのやきもの 『HYBRIDS.』 1988ねん 4かん 1ごう p.2-20, doi:10.5104/jiep1985.4.2
  3. ^ べい触発しょくはつされたでんためしグループ, http://www.shmj.or.jp/shimura/ssis_shimura2_06.htm 
  4. ^ 固体こたい回路かいろいち試作しさく 昭和しょうわ36(1961)ねん4がつ8にち 電気でんきよん学会がっかい連合れんごう大会たいかい, http://www.shmj.or.jp/shimura/shimura_J_L/shimura2_06_3L.jpg 
  5. ^ 東大とうだいグループは「かたたいがた論理ろんり回路かいろ, http://www.shmj.or.jp:80/shimura/ssis_shimura2_07.htm  半導体はんどうたい産業さんぎょうじん協会きょうかい 日本にっぽん半導体はんどうたい歴史れきしかん 志村しむら資料しりょうしつ だいII
  6. ^ The Bipolar Digital Integrated Circuits Data Book, 日本にほんテキサスインスツルメンツ 
  7. ^ 原題げんだい: Introduction to VLSI Systems
  8. ^ Kim, Dae-Hyeong; Ahn, Jong-Hyun; Choi, Won Mook; Kim, Hoon-Sik; Kim, Tae-Ho; Song, Jizhou; Huang, Yonggang Y.; Liu, Zhuangjian et al. (2008-04-25). “Stretchable and Foldable Silicon Integrated Circuits” (英語えいご). Science 320 (5875): 507–511. doi:10.1126/science.1154367. ISSN 0036-8075. https://www.science.org/doi/10.1126/science.1154367. 
  9. ^ 福田ふくだ哲生てつおちょ 『はじめての半導体はんどうたいシリコン』工業こうぎょう調査ちょうさかい 2006ねん9がつ15にち初版しょはんだい1さつ発行はっこう ISBN 4769312547
  10. ^ 株式会社かぶしきがいしゃインプレス (2020ねん1がつ23にち). “TSMC、5nmプロセス「N5」を2020ねん上半期かみはんきげ 〜6 nmは予定よていどお年内ねんない量産りょうさん開始かいし見込みこ”. PC Watch. 2021ねん4がつ8にち閲覧えつらん
  11. ^ 株式会社かぶしきがいしゃインプレス (2021ねん1がつ18にち). “TSMC、3 nmプロセスのリスク生産せいさんを2021ねんないにも開始かいし”. PC Watch. 2021ねん4がつ8にち閲覧えつらん
  12. ^ 株式会社かぶしきがいしゃインプレス (2019ねん5がつ16にち). “Samsung、3 nmプロセスで独自どくじのGAAFET構造こうぞう「MBCFET」採用さいようへ ~6nmは年内ねんない、5nmを2020ねんより量産りょうさん開始かいし”. PC Watch. 2021ねん4がつ8にち閲覧えつらん
  13. ^ 株式会社かぶしきがいしゃインプレス (2020ねん1がつ30にち). “福田ふくだあきらのセミコン業界ぎょうかい最前線さいぜんせん】 2020ねん半導体はんどうたいはおもしろい(前編ぜんぺん)”. PC Watch. 2021ねん4がつ8にち閲覧えつらん
  14. ^ 日経にっけいエレクトロニクス 2007ねん11月5にちごうげきやすDRAMをかす」 p.63
  15. ^ 株式会社かぶしきがいしゃインプレス (2020ねん3がつ26にち). “Samsung、業界ぎょうかいはつのEUV採用さいようDRAMモジュールの出荷しゅっか開始かいし”. PC Watch. 2021ねん4がつ8にち閲覧えつらん
  16. ^ 笠原かさはら一輝いっきのユビキタス情報じょうほうきょくː Intel、だい10世代せだいCore発表はっぴょう。10nmプロセスで、L1が1.5ばい、L2は倍増ばいぞう”. 2021ねん4がつ26にち閲覧えつらん。 “初期しょき計画けいかくでは2017ねんまつ出荷しゅっかだったが、Kaby Lakeの微細びさい製品せいひんとして計画けいかくされてきたおなじ10nm採用さいようのCannon Lakeがうまくがらず、結果けっかてき事実じじつじょうのスキップ(実際じっさいにはGPUなしばん細々こまごま出荷しゅっかされている)になり、2019ねんにずれんでしまうというかたちになってしまった。”
  17. ^ 笠原かさはら一輝いっきのユビキタス情報じょうほうきょくː Intel、2023ねん製品せいひん計画けいかくプランを延期えんき。ゲルシンガーしん体制たいせいつよいIntelへの回帰かいきなるか”. 2021/04.26閲覧えつらん。 “Intelのつぎ製造せいぞう技術ぎじゅつであり、TSMCの5 nmとどう程度ていど性能せいのうっているとされる7 nmの製造せいぞう計画けいかくは2022ねん開始かいしされ、量産りょうさんは2023ねんになるとられている。”
  18. ^ インテルCPUロードマップ 2016ねんちゅうに10nmプロセスを量産りょうさん、7nmは2019ねん ASCIIデジタル2016ねん04がつ18にち
  19. ^ ついに“ひとけた”、7 nmプロセス開発かいはつ加速かそく EE Times Japan Weekly 2016ねん03がつ28にち
  20. ^ “Broadwell-EP”こと「Xeon E5-2600 v4」が販売はんばい開始かいし ASCII 2016ねん04がつ01にち
  21. ^ ASCII. “アップル異例いれいの「順番じゅんばんわり」、それでも「プロセッサー自前じまえ開発かいはつ」でつよみをせる (1/2)”. ASCII.jp. 2021ねん4がつ8にち閲覧えつらん
  22. ^ New nano logic devices for the 2020 time frames
  23. ^ マイクロ分光ぶんこう素子そしもちいたイメージセンサのこう感度かんど技術ぎじゅつ開発かいはつ Panasonic Newsroom プレスリリース 2013ねん2がつ4にち

参考さんこう文献ぶんけん

[編集へんしゅう]

関連かんれん項目こうもく

[編集へんしゅう]

外部がいぶリンク

[編集へんしゅう]